summaryrefslogtreecommitdiffstats
diff options
context:
space:
mode:
Diffstat (limited to 'tests/vbltest/vbltest.c')
-rw-r--r--tests/vbltest/vbltest.c7
1 files changed, 5 insertions, 2 deletions
diff --git a/tests/vbltest/vbltest.c b/tests/vbltest/vbltest.c
index 18333212..3f6b803a 100644
--- a/tests/vbltest/vbltest.c
+++ b/tests/vbltest/vbltest.c
@@ -35,8 +35,11 @@
35#include <unistd.h> 35#include <unistd.h>
36#include <string.h> 36#include <string.h>
37#include <errno.h> 37#include <errno.h>
38#include <sys/poll.h> 38#include <poll.h>
39#include <sys/time.h> 39#include <sys/time.h>
40#ifdef HAVE_SYS_SELECT_H
41#include <sys/select.h>
42#endif
40 43
41#include "xf86drm.h" 44#include "xf86drm.h"
42#include "xf86drmMode.h" 45#include "xf86drmMode.h"
@@ -120,7 +123,7 @@ int main(int argc, char **argv)
120 } 123 }
121 } 124 }
122 125
123 fd = util_open(module, device); 126 fd = util_open(device, module);
124 if (fd < 0) 127 if (fd < 0)
125 return 1; 128 return 1;
126 129